Searched refs:ignore_everything (Results 1 - 1 of 1) sorted by relevance

/vbox/src/apps/svnsync-vbox/
H A Dmain.c1244 svn_boolean_t ignore_everything; /* Ignore operations on this dir/file. */
1490 svn_boolean_t ignore_everything;
1499 ignore_everything = pb->ignore_everything;
1500 if (!ignore_everything)
1529 if (prev_process && !ignore_everything)
1559 b->ignore_everything = pb->ignore_everything_rec;
1560 if (!b->ignore_everything)
1585 if (b->process && !b->ignore_everything)
1633 b->ignore_everything
1240 svn_boolean_t ignore_everything; /* Ignore operations on this dir/file. */ member in struct:__anon17015
1486 svn_boolean_t ignore_everything; local
[all...]

Completed in 49 milliseconds