Searched defs:gen_helper_out_func (Results 1 - 1 of 1) sorted by relevance

/vbox/src/recompiler/target-i386/
H A Dtranslate.c910 static void gen_helper_out_func(int ot, TCGv_i32 v, TCGv_i32 n) function
1405 gen_helper_out_func(ot, cpu_tmp2_i32, cpu_tmp3_i32);
6489 gen_helper_out_func(ot, cpu_tmp2_i32, cpu_tmp3_i32);
6532 gen_helper_out_func(ot, cpu_tmp2_i32, cpu_tmp3_i32);

Completed in 498 milliseconds