Searched refs:test_anda (Results 1 - 6 of 6) sorted by relevance

/openjdk7/hotspot/test/compiler/7200264/
H A DTestIntVect.java94 test_anda(a0, a1, a4);
226 test_anda(a0, a1, a4);
228 errn += verify("test_anda: ", i, a0[i], (int)((int)(ADD_INIT+i)&BIT_MASK));
486 static void test_anda(int[] a0, int[] a1, int[] a2) { method in class:TestIntVect
/openjdk7/hotspot/test/compiler/6340864/
H A DTestIntVect.java93 test_anda(a0, a1, a4);
244 test_anda(a0, a1, a4);
246 errn += verify("test_anda: ", i, a0[i], (int)((int)(ADD_INIT+i)&BIT_MASK));
604 test_anda(a0, a1, a4);
607 System.out.println("test_anda: " + (end - start));
1001 static void test_anda(int[] a0, int[] a1, int[] a2) { method in class:TestIntVect
H A DTestLongVect.java92 test_anda(a0, a1, a4);
238 test_anda(a0, a1, a4);
240 errn += verify("test_anda: ", i, a0[i], (long)((long)(ADD_INIT+i)&BIT_MASK));
574 test_anda(a0, a1, a4);
577 System.out.println("test_anda: " + (end - start));
946 static void test_anda(long[] a0, long[] a1, long[] a2) { method in class:TestLongVect
H A DTestByteVect.java95 test_anda(a0, a1, a4);
254 test_anda(a0, a1, a4);
256 errn += verify("test_anda: ", i, a0[i], (byte)((byte)(ADD_INIT+i)&BIT_MASK));
682 test_anda(a0, a1, a4);
685 System.out.println("test_anda: " + (end - start));
1129 static void test_anda(byte[] a0, byte[] a1, byte[] a2) { method in class:TestByteVect
H A DTestShortVect.java94 test_anda(a0, a1, a4);
249 test_anda(a0, a1, a4);
251 errn += verify("test_anda: ", i, a0[i], (short)((short)(ADD_INIT+i)&BIT_MASK));
639 test_anda(a0, a1, a4);
642 System.out.println("test_anda: " + (end - start));
1061 static void test_anda(short[] a0, short[] a1, short[] a2) { method in class:TestShortVect
/openjdk7/hotspot/test/compiler/8001183/
H A DTestCharVect.java94 test_anda(a0, a1, a4);
249 test_anda(a0, a1, a4);
251 errn += verify("test_anda: ", i, a0[i], (char)((char)(ADD_INIT+i)&BIT_MASK));
639 test_anda(a0, a1, a4);
642 System.out.println("test_anda: " + (end - start));
1061 static void test_anda(char[] a0, char[] a1, short[] a2) { method in class:TestCharVect

Completed in 101 milliseconds