Searched defs:test_srlc_on (Results 1 - 6 of 6) sorted by relevance

/openjdk7/hotspot/test/compiler/7200264/
H A DTestIntVect.java121 test_srlc_on(a0, a1);
347 test_srlc_on(a0, a1);
349 errn += verify("test_srlc_on: ", i, a0[i], (int)((int)(ADD_INIT+i)>>>(-SHIFT)));
565 static void test_srlc_on(int[] a0, int[] a1) { method in class:TestIntVect
/openjdk7/hotspot/test/compiler/8001183/
H A DTestCharVect.java125 test_srlc_on(a0, a1);
370 test_srlc_on(a0, a1);
372 errn += verify("test_srlc_on: ", i, a0[i], (char)((char)(ADD_INIT+i)>>>(-SHIFT)));
814 test_srlc_on(a0, a1);
817 System.out.println("test_srlc_on: " + (end - start));
1160 static void test_srlc_on(char[] a0, char[] a1) { method in class:TestCharVect
/openjdk7/hotspot/test/compiler/6340864/
H A DTestIntVect.java124 test_srlc_on(a0, a1);
365 test_srlc_on(a0, a1);
367 errn += verify("test_srlc_on: ", i, a0[i], (int)((int)(ADD_INIT+i)>>>(-SHIFT)));
779 test_srlc_on(a0, a1);
782 System.out.println("test_srlc_on: " + (end - start));
1100 static void test_srlc_on(int[] a0, int[] a1) { method in class:TestIntVect
H A DTestLongVect.java123 test_srlc_on(a0, a1);
359 test_srlc_on(a0, a1);
361 errn += verify("test_srlc_on: ", i, a0[i], (long)((long)(ADD_INIT+i)>>>(-SHIFT)));
749 test_srlc_on(a0, a1);
752 System.out.println("test_srlc_on: " + (end - start));
1045 static void test_srlc_on(long[] a0, long[] a1) { method in class:TestLongVect
H A DTestShortVect.java125 test_srlc_on(a0, a1);
370 test_srlc_on(a0, a1);
372 errn += verify("test_srlc_on: ", i, a0[i], (short)((short)(ADD_INIT+i)>>>(-SHIFT)));
814 test_srlc_on(a0, a1);
817 System.out.println("test_srlc_on: " + (end - start));
1160 static void test_srlc_on(short[] a0, short[] a1) { method in class:TestShortVect
H A DTestByteVect.java126 test_srlc_on(a0, a1);
375 test_srlc_on(a0, a1);
377 errn += verify("test_srlc_on: ", i, a0[i], (byte)((byte)(ADD_INIT+i)>>>(-SHIFT)));
857 test_srlc_on(a0, a1);
860 System.out.println("test_srlc_on: " + (end - start));
1228 static void test_srlc_on(byte[] a0, byte[] a1) { method in class:TestByteVect

Completed in 331 milliseconds