Searched refs:modulus (Results 1 - 25 of 29) sorted by relevance

12

/vbox/src/VBox/Devices/PC/ipxe/src/crypto/
H A Dbigint.c36 * @v modulus0 Element 0 of big integer modulus
38 * @v size Number of elements in base, modulus, and result
50 const bigint_t ( size ) __attribute__ (( may_alias )) *modulus =
56 bigint_t ( size * 2 ) modulus; member in struct:__anon15090
62 assert ( sizeof ( *temp ) == bigint_mod_multiply_tmp_len ( modulus ) );
67 /* Rescale modulus to match result */
68 bigint_grow ( modulus, &temp->modulus );
70 bigint_max_set_bit ( &temp->modulus ) );
72 bigint_rol ( &temp->modulus );
[all...]
H A Drsa.c168 bigint_t ( size ) *modulus;
170 size_t tmp_len = bigint_mod_exp_tmp_len ( modulus, exponent );
172 bigint_t ( size ) modulus;
189 context->modulus0 = &dynamic->modulus.element[0];
245 struct asn1_cursor modulus; local
286 /* Extract modulus */
287 if ( ( rc = rsa_parse_integer ( context, &modulus, &cursor ) ) != 0 )
299 DBGC ( context, "RSA %p modulus:\n", context );
300 DBGC_HDA ( context, 0, modulus.data, modulus
[all...]
/vbox/src/VBox/RDP/client-1.8.3/
H A Dssl.h60 void rdssl_rsa_encrypt(uint8 * out, uint8 * in, int len, uint32 modulus_size, uint8 * modulus,
68 int rdssl_rkey_get_exp_mod(RDSSL_RKEY * rkey, uint8 * exponent, uint32 max_exp_len, uint8 * modulus,
70 RD_BOOL rdssl_sig_ok(uint8 * exponent, uint32 exp_len, uint8 * modulus, uint32 mod_len,
H A Dssl.c96 rdssl_rsa_encrypt(uint8 * out, uint8 * in, int len, uint32 modulus_size, uint8 * modulus, argument
104 reverse(modulus, modulus_size);
115 BN_bin2bn(modulus, modulus_size, &mod);
208 rdssl_rkey_get_exp_mod(RDSSL_RKEY * rkey, uint8 * exponent, uint32 max_exp_len, uint8 * modulus, argument
220 len = BN_bn2bin(rkey->n, modulus);
221 reverse(modulus, len);
227 rdssl_sig_ok(uint8 * exponent, uint32 exp_len, uint8 * modulus, uint32 mod_len, argument
H A Dsecure.c317 sec_rsa_encrypt(uint8 * out, uint8 * in, int len, uint32 modulus_size, uint8 * modulus, argument
320 rdssl_rsa_encrypt(out, in, len, modulus_size, modulus, exponent);
505 sec_parse_public_key(STREAM s, uint8 * modulus, uint8 * exponent) argument
526 in_uint8a(s, modulus, modulus_len);
535 sec_parse_public_sig(STREAM s, uint32 len, uint8 * modulus, uint8 * exponent) argument
547 return rdssl_sig_ok(exponent, SEC_EXPONENT_SIZE, modulus, g_server_public_key_len,
554 uint8 ** server_random, uint8 * modulus, uint8 * exponent)
603 if (!sec_parse_public_key(s, modulus, exponent))
610 if (!sec_parse_public_sig(s, length, modulus, exponent))
705 modulus, SEC_MAX_MODULUS_SIZ
553 sec_parse_crypt_info(STREAM s, uint32 * rc4_key_size, uint8 ** server_random, uint8 * modulus, uint8 * exponent) argument
722 uint8 modulus[SEC_MAX_MODULUS_SIZE]; local
[all...]
/vbox/src/VBox/Devices/PC/ipxe/src/include/ipxe/
H A Dbigint.h198 * @v modulus Big integer modulus
202 #define bigint_mod_multiply( multiplicand, multiplier, modulus, \
207 (modulus)->element, \
214 * @v modulus Big integer modulus
217 #define bigint_mod_multiply_tmp_len( modulus ) ( { \
218 unsigned int size = bigint_size (modulus); \
228 * @v modulus Big integer modulus
[all...]
/vbox/src/libs/xpcom18a4/xpcom/ds/
H A DnsDeque.cpp58 * The following functions do not provide a correct implementation of modulus
68 * modulus
74 #define modulus(x,y) ((x<0)?(x+y)%(y):(x)%(y)) macro
223 mData[modulus(mOrigin + mSize, mCapacity)]=aItem;
283 PRInt32 offset=modulus(mSize + mOrigin, mCapacity);
324 result = mData[modulus(mSize - 1 + mOrigin, mCapacity)];
355 result=mData[modulus(mOrigin + aIndex, mCapacity)];
/vbox/src/VBox/Devices/PC/ipxe/src/crypto/axtls/
H A Dcrypto.h175 bigint *m; /* modulus */
190 const uint8_t *modulus, int mod_len,
202 const uint8_t *modulus, int mod_len,
210 bigint *modulus, bigint *pub_exp);
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.5.3/
H A Dmisc.h146 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.3.0.0/
H A Dmisc.h149 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.4.2/
H A Dmisc.h149 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.6.5/
H A Dmisc.h151 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.7.7/
H A Dmisc.h148 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.8.0/
H A Dmisc.h148 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.9.0/
H A Dmisc.h148 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.10.0/
H A Dmisc.h148 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.11.0/
H A Dmisc.h147 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/4.3/programs/Xserver/include/
H A Dmisc.h159 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.1.0/
H A Dmisc.h159 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.0.1/
H A Dmisc.h159 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.16.0/
H A Dmisc.h155 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.17.1/
H A Dmisc.h155 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.12.0/
H A Dmisc.h152 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.13.0/
H A Dmisc.h155 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro
/vbox/src/VBox/Additions/x11/x11include/xorg-server-1.14.0/
H A Dmisc.h155 #define modulus(a, b, d) if (((d) = (a) % (b)) < 0) (d) += (b) macro

Completed in 84 milliseconds

12