Lines Matching refs:add

13  * If applicable, add the following below this CDDL HEADER, with the
33 kwd.add("abstract");
34 kwd.add("as");
35 kwd.add("base");
36 kwd.add("bool");
37 kwd.add("break");
38 kwd.add("byte");
39 kwd.add("case");
40 kwd.add("catch");
41 kwd.add("char");
42 kwd.add("checked");
43 kwd.add("class");
44 kwd.add("const");
45 kwd.add("continue");
46 kwd.add("decimal");
47 kwd.add("default");
48 kwd.add("delegate");
49 kwd.add("do");
50 kwd.add("double");
51 kwd.add("else");
52 kwd.add("enum");
53 kwd.add("event");
54 kwd.add("explicit");
55 kwd.add("extern");
56 kwd.add("false");
57 kwd.add("finally");
58 kwd.add("fixed");
59 kwd.add("float");
60 kwd.add("for");
61 kwd.add("foreach");
62 kwd.add("goto");
63 kwd.add("if");
64 kwd.add("implicit");
65 kwd.add("in");
66 kwd.add("int");
67 kwd.add("interface");
68 kwd.add("internal");
69 kwd.add("is");
70 kwd.add("lock");
71 kwd.add("long");
72 kwd.add("namespace");
73 kwd.add("new");
74 kwd.add("null");
75 kwd.add("object");
76 kwd.add("operator");
77 kwd.add("out");
78 kwd.add("override");
79 kwd.add("params");
80 kwd.add("private");
81 kwd.add("protected");
82 kwd.add("public");
83 kwd.add("readonly");
84 kwd.add("ref");
85 kwd.add("return");
86 kwd.add("sbyte");
87 kwd.add("seale");
88 kwd.add("short");
89 kwd.add("sizeof");
90 kwd.add("stackalloc");
91 kwd.add("static");
92 kwd.add("string");
93 kwd.add("struct");
94 kwd.add("switch");
95 kwd.add("this");
96 kwd.add("throw");
97 kwd.add("true");
98 kwd.add("try");
99 kwd.add("typeof");
100 kwd.add("uint");
101 kwd.add("ulong");
102 kwd.add("unchecked");
103 kwd.add("unsafe");
104 kwd.add("ushort");
105 kwd.add("using");
106 kwd.add("virtual");
107 kwd.add("void");
108 kwd.add("volatile");
109 kwd.add("while");
111 kwd.add("add");
112 kwd.add("alias");
113 kwd.add("ascending");
114 kwd.add("descending");
115 kwd.add("dynamic");
116 kwd.add("from");
117 kwd.add("get");
118 kwd.add("global");
119 kwd.add("group");
120 kwd.add("into");
121 kwd.add("join");
122 kwd.add("let");
123 kwd.add("orderby");
124 kwd.add("remove");
125 kwd.add("select");
126 kwd.add("set");
127 kwd.add("partial");
128 kwd.add("value");
129 kwd.add("var");
130 kwd.add("where");
131 kwd.add("yield");
133 kwd.add("#if");
134 kwd.add("#else");
135 kwd.add("#elif");
136 kwd.add("#endif");
137 kwd.add("#define");
138 kwd.add("#undef");
139 kwd.add("#warning");
140 kwd.add("#error");
141 kwd.add("#line");
142 kwd.add("#region");
143 kwd.add("#endregion");
144 kwd.add("#pragma");
145 kwd.add("#pragma warning");
146 kwd.add("#pragma checksum");
147 kwd.add("#pragma warning restore");
148 kwd.add("#pragma warning disable");